Semiconductor & Solar >> SPTS Technologies

Plasma Dicing

I am interested in this product

    Your Name (required)

    Your Email (required)

    Contact Number

    Product Name

    Close Form

    I am interested in this product

      Your Name (required)

      Your Email (required)

      Contact Number

      Product Name

      Close Form

      Detailed Specs

      Mosaic™
      A viable alternative to conventional singulation methods using saw blades or LASERs
      Plasma dicing, using Deep Reactive Ion Etching (DRIE) processing, is gaining rapid acceptance within the semiconductor industry as a viable alternative to conventional singulation methods using saw blades or LASERs.
      Plasma dicing offers considerable benefits to users
      • Up to 80% more die per wafer, at higher throughput
      • Up to 100% stronger die at higher yields
      • Flexibility for die layout and design.

      Plasma dicing can be carried out before grinding, where deep dicing lanes are etched into the wafer and the die are singulated by a final backside grind operation, or after grind where DRIE is used to etch through thinned wafers mounted on taped frames, or carriers.
      Plasma dicing is compatible with solder bumps and backside metal, and the Mosaic™ plasma dicing solution has successfully demonstrated “dicing after grind” on standard/thinned/TAIKO wafers and wafer pieces, on a range of dicing frames and tapes